トップ・レベル回路への入出力ピン割り当て


トップ・レベルの回路の入出力ピンの指定

設計した回路に、ボードのスイッチからの入力を入れたり、 ボードのLEDなどに信号を出力するためには、 回路の信号線をFPGAのピンを通す必要があります。 このピンの指定は、Pin Plannderで自由に行えます。

ピンの指定のためには、まず、"Asignments→Pins"で Pin Plannderを立ち上げます。

Boot Pin Planner

アサインメント・エディタの詳細は、 オンライン資料: Quartus II開発ソフトウェアの Quartus IIハンドブックのVolume 2, Chapter 2, "アサインメント・エディタ"に書かれています。


Pin Plannerでよくはまる点


Last modified: 2010/4/7 11:00