ModelSim によるシミュレーション (テストベンチなし)


(参考) Quartus II Ver.9 以前の方法は、 テスト波形ファイルを使ったシミュレーション (Ver.9まで) を参照。


設定

Quartus II からシミュレーションツール ModelSim-Altera を呼び出すための設定をする。

Setting Simulation

合成

Quartus II で回路をコンパイルし、"Compilation Report" の "EDA Netlist Writer" の下の Summary, Settings, Generated Files を確認する。

ModelSim起動

Quartus II からシミュレーションツール ModelSim を起動する。

ModelSim Main

シミュレーション準備

シミュレーションの環境を設定する。

Start Simulation

シミュレーション実行

入力波形を与えてシミュレーションする。

Simulation Result

作業の効率化のヒント


Last modified: 2014/4/11 10:00